数字电路译码器综合实验设计与研究
更新日期:2020-10-22     来源:长春大学学报   作者:柴黎  浏览次数:125
核心提示:在数字系统中,译码器是常用的组合逻辑单元电路,它具有非常重要的地位,应用也很广泛,而且在组合逻辑电路设计中它可替代繁多的逻辑门,简化设计电路

在数字系统中,译码器是常用的组合逻辑单元电路,它具有非常重要的地位,应用也很广泛,而且在组合逻辑电路设计中它可替代繁多的逻辑门,简化设计电路。除了为其它集成电路产生片选信号之外,译码器还可以实现数据分配器、脉冲发生器、函数发生器以及显示译码功能。    

一、译码器地址译码逻辑功能仿真

译码器是将每个输入的二进制代码译成对应的输出高、低电平信号,译码器非常重要的一个应用是对地址进行译码,CPU向地址总线发送了一串地址码,要去访问一个地址单元,这个地址码出现在地址总线上,就需要一个地址译码器,对这一串地址进行译码,译码的结果就是指向某一个具体的内存单元,这是译码器一个非常典型的应用[1]。    

常规的实验测试二进制译码器逻辑功能的方法,是将译码器的地址输入端分别接电平开关,改变逻辑电平开关为逻辑1,逻辑0,输出端接灯泡,观测输出函数的逻辑状态,如图1所示。用Multisim仿真软件对译码器的工作过程波形进行仿真分析,输入的电平开关为011,输出为Y3接通的X4灯亮,存在译码关系不直观的问题。

如果选用通用的数字激励源编辑器——字符信号发生器作为信号产生各种输入数字信号,逻辑分析仪显示输入及输出信号的状态,就可以在逻辑分析仪的窗口看到有8路低电平信号输出,这样就直观地实现了8路译码的作用。