FPGA原语在时钟网络和高速接口应用的分析
更新日期:2019-10-28     来源:微电子学与计算机   作者:任智新  浏览次数:166
核心提示:FPGA原语在时钟网络和高速接口中的应用摘要:现场可编程门阵列(FPGA)的原语是器件内最小组件,可直接调用以搭建功能模块。在对时钟组件及I/O组件分

FPGA原语在时钟网络和高速接口中的应用

摘要:现场可编程门阵列(FPGA)的原语是器件内最小组件,可直接调用以搭建功能模块。在对时钟组件及I/O组件分析的基础上,提出原语在时钟网络以及高速接口中的几种应用方法,设计了可动态改变输出延时的PHY接口,并通过ISE仿真表明了该方法的有效性,最后提出利用原语实现低延时的DDR SDRMA高速数据接口的方法。

关键词:原语;时钟网络;高速接口